Fix clear of Z position last digit (#13731)

This commit is contained in:
Antonio Pérez 2019-04-17 21:52:20 +02:00 committed by Scott Lahteine
parent 866e2d41dc
commit bf28ad4b6c
2 changed files with 2 additions and 2 deletions